北京中科 工程师
点击[741]   0 评论 分享 发布: 2018-09-05 分类:my blog

 Davinci Resolve Studio 15.0.1 1DVD
Clip Studio Paint EX 1.8.0 Win64 1CD

HTRI Xchanger Suite v7.3.2 1CD

NextLimit RealFlow 2.6.4.0092 for Cinema 4D 1CD

NextLimit RealFlow 10.1.1.0157 MacOSX 1CD

NextLimit RealFlow 10.1.2.0162 Win64 1CD

Rhinoceros 6.10.18242.16581 Win64 1CD

RSoft Optsim System Suite 2018 Linux64 1DVD

■□■□■□■□■□■□■□■□■□
长期有效:

TEL:18980583122 扣扣:1140988741

■□■□■□■□■□■□■□■□■□ 

CADENAS产品:

Cadence.ADW.v16.60.015.Linux 1CD

Cadence Altos v12.12.000 Linux 1CD

Cadence.AMS.Methodology.Kit.6.12.Linux 7CD

Cadence ANLS v07.10.003 Linux 1CD

Cadence ASI v16.64.002 Win32_64 2DVD

Cadence ASI 16.63.000 Update Only Win32_64 2DVD

Cadence.ASI.v16.62.000.Update.Only.Linux 1DVD

Cadence ASI 16.62 Update Only Win64 1DVD

Cadence ASI v16.61 Update Only Win32_64 2DVD

Cadence ASSURA v6.15.04.12.017 Linux 2DVD

Cadence.Assura v4.10.002 Linux 5CD

Cadence Assura v4.10.006 Update Linux 3CD

Cadence Assura v4.12.004.615 Update Linux 4CD

Cadence Assura v3.13 for IC4.46 Linux-ISO 2CD(新一代深亚微米模拟和混合IC版图验证、寄生参数提取以及分辩率增强可制造性解决方案)

Cadence.OrCAD.Allegro.v17.20.030.Hotfix.Only 1DVD

Cadence Allegro and OrCAD (Including EDM) 17.20.025 Update Only Win64 1DVD

Cadence Allegro and OrCAD 17.20.004 Update-ISO 1DVD

Cadence Allegro and OrCAD 17.20.001 Update Only 1CD

Cadence Design Systems Allegro and OrCAD v17.2 Win64 1DVD

Cadence SPB/OrCAD (Allegro SPB) v17.00-ISO 1DVD(电子电路设计软件)

Cadence Allegro and OrCAD (Including ADW) 17.00.001 Hotfix 1CD

Cadence SPB/OrCAD (Allegro SPB) v17.00.001.Hotfix.1 Windows 1CD

Cadence Allegro and OrCAD (Including ADW) v17.00.005 Hotfix 1CD

Cadence SPB/OrCAD (Allegro SPB) v16.60-ISO 5CD(电子电路设计软件)

Cadence SPB/OrCAD (Allegro SPB) v16.60.018 Update Only 1DVD

Cadence SPB/OrCAD (Allegro SPB) v16.60.014 Update Only 1DVD

Cadence SPB/OrCAD (Allegro SPB) v16.60.013 Update Only 1DVD

Cadence SPB/OrCAD (Allegro SPB) v16.60.012 Update Only 1CD

Cadence SPB/OrCAD (Allegro SPB) v16.60.011 Update Only 1CD

Cadence SPB/OrCAD (Allegro SPB) v16.60.010 Update Only 1CD

Cadence SPB/OrCAD (Allegro SPB) v16.60.008 Update Only 1CD

Cadence SPB/OrCAD (Allegro SPB) v16.60.007 Update Only 1CD

Cadence SPB/OrCAD (Allegro SPB) v16.60.006 Update Only 1CD

Cadence SPB/OrCAD (Allegro SPB) v16.60.003 Update Only 1CD

Cadence SPB/OrCAD (Allegro SPB) v16.60.001 Update Only 1CD

Cadence OrCAD Library Builder & Documentation Editor v16.6 Win32 1CD

Cadence Allegro and OrCAD (Including EDM) 17.20.007 Linux 2CD

Cadence SPB/OrCAD (Allegro SPB) v17.00.0 Linux 1DVD

Cadence SPB/OrCAD (Allegro SPB) v16.30.019 Linux 3CD

Cadence OrCAD Capture CIS 9 实用教程 1CD

Cadence OrCAD 问题集锦 1CD

 

Cadence.Allegro.PCB.Design.v16.2-ISO 3CD(专业的电路板的设计软件,适合于一个全新项目的PCB 设计)

Cadence.Allegro.PCB.v16.20.014 Update Only 1CD

Cadence.BSIMProPlus.v5.1 1CD(提供了全套的解决方案,包括采用Virtuoso器件模型(BSIMProPlus)来提取

和调整硅的可靠性模型以及用UltraSim全芯片模拟器进行的全芯片可靠性模拟和分析)

Cadence C-to-Silicon Compiler (CtoS) Product v11.10 Linux 2CD

Cadence Ccopt 2012 Linux 1CD

Cadence.CICE.v05.01.000.Linux 1CD

Cadence Conformal v11.10.320 Linux 1CD

Cadence Conformal v8.1 Linux64 1CD

Cadense Conformal LEC v10.1 Linux 1CD

Cadence CTOS v13.20.200 Linux 1CD

Cadence.CTS v9.1 Linux 1CD

Cadence.EDI-ISR3.v13.23.000.Linux 1DVD

Cadence EDI v13.12.000 Linux 1DVD

Cadence EDI v12.0 Linux 6CD(即SOC-Encounter,完整的综合布局布线系统)

Cadence EMGR v08.02.001 Linux 1CD

Cadence Encounter RTL Compiler v9.10.100 Linux 1CD

Cadence Encounter timing system(ETS) v11.11.001 Linux 2DVD

Cadence Encounter Test 15.12.000 Linux 1DVD

Cadence Encounter Test(ET) v13.10.100 Linux 1DVD

Cadence.EXT.v13.20.157.Linux 1CD

Cadence Forte CynThesizer 05.03.400 Linux 3CD

Cadence GENUS 15.2 Linux 3DVD

Cadence Kitsocv v08.20.003 Linux 3CD

Cadence KMC v04.14.000 Linux 1CD

Cadence KQV v05.13.002 Linux 1CD

Cadence PDK Automation System (PAS) Release v03.05.003 Linux 1CD(最新版PDK自动化系统)

Cadence PDK Automation System (PAS) Release v03.05.003 Windows 1CD

Cadence PAS v3.1 Linux 1CD(PDK自动化系统)

Cadence.Pcell.PAS.v3.1.Linux 1CD

Cadence PSD 15.1-ISO 3CD(EDA开发工具包,它提供了从原理图设计输入、分析,PCB设计、PCB制造文件输出等一整套工具)

Cadence PVE v12.10.488 Linux 1DVD

Cadence PVS v15.23.000 Linux 1DVD

Cadence PVS v15.13.000 Linux 1DVD

Cadence Physical Verification System(PVS) v10.1 Linux 1CD

Cadence Physical Verification System(PVS) v10.12.155 Update Only Linux 1DVD

Cadence SOCKIT v08.02.001 Linux 1CD

Cadence.RC.v12.22.000.Linux 1CD

Cadence RFKIT v8.1 Linux 4CD

Cadence RFSIPKT v07.02.001 Linux 1CD

Cadence.SPB.v16.3.Linux 5CD

Cadence SPMN v08.02.001 Linux 1CD

Cadence TSI v6.1 Linux 2CD

Cadence.TTI.v01.30.001.Linux 1CD

Cadenc.SPECTRE.v16.10.173.Base.Linux 1DVD(就是最新的MMSIM 3.68G)

Cadence MMSIM 15.10.385 Linux 7DVD

Cadence MMSIM v14.10.255 Linux 2DVD

Cadence MMSIM v13.1 Linux 5CD

Cadence MMSIM v12.10.317 Linux 7CD

Cadence MMSIM v11.10.445 Linux 2DVD

Cadence MMSIM v10.11.017 Update Linux 1DVD

Cadence MMSim v10.10.204 Linux 3CD

Cadence MMsim v7.11.071 Linux 6CD

Cadence MMsim v6.2 linux 7CD

Cadence MVS 15.20.000 Linux 1CD

Cadence MVS v12.11.465 Linux 1DVD

Cadence NEOCKT-03.04.011 Linux 1CD

Cadence IC Craftsman v11.241 1CD

Cadence IC 06.17.700 Virtuoso Linux 1DVD

Cadence IC Design Virtuoso v6.1.6 ISR8 Linux 6DVD

UofU.Digital.v1.2 for Cadence IC v5 (CDB) 1CD

UofU.Digital.v1.2 for Cadence IC v6 (OA) 1CD

Cadence IC5141 ISR200906100325 Linux 4CD(IC5141最新升级文件)

Cadence.ICADV.v12.30.700.Linux 1DVD

Cadence IFV v8.20.012 Linux 2CD

CADENCE INCISIVE v15.20.001 Linux 13DVD

Cadence INCISIVE v15.20.002 Hotfix Linux 6DVD

Cadence INCISIV 14.10.014 Linux 2DVD

Cadence INCISIV v13.20.002 Linux 1DVD

Cadence.Incisive.Enterprise.Simulator(IES) v8.2 Linux 1CD

Cadence InCyte Chip Estimator v03.04.008 WinALL 1CD

Cadence Indago 15.10.001 Linux 2DVD

Cadence Innovus v15.20.000 Linux 1DVD

Cadence Liberate 15.10.000 Linux 1DVD

Cadence.Logic.Design.and.VerifiCation(LDV).v5.1-ISO 1CD(逻辑设计与验证)

Cadence.Logic.Design.and.VerifiCation(LDV).v5.1.Linux 2CD

Cadence Low Power Methodology Kit v08.02.001 Linux 3CD

Cadence iScape v4.21 Linux 1CD

Cadence IUS v5.4 Win32-ISO 1CD

Cadence Incisive Unified Simulator(IUS) v10.2 Linux 1DVD

Cadence.Allegro.v13.6-ISO 1CD(系统互联设计平台,此平台具有缩短PCB设计周期, 显著提高生产效率的特点)

Cadence SEV v4.1 Linux 1CD

Cadence Design Systems Sigrity 2017 Win64 1DVD

Cadence Design Systems Sigrity 2017 HF003 2DVD

Cadence Design Systems OpenPOWER Compliance Kits for Sigrity 2017 1DVD

Cadence Quantus QRC EXT v15.23.000 Linux 3DVD

Cadence Sigrity 2016 v16.00.002 Win64 1DVD

Cadence Sigrity 2016 Windows 1DVD

Cadence Sigrity 2015 Win64 1DVD

Cadence SPW v4.9 Linux 1CD

Cadence SSV v15.20.000 Linux 1DVD

Cadence Stratus 15.20.100 Linux 2DVD

Cadence Stratus v17.10.100 Base Linux 1DVD

Cadence Stratus v17.15.100 Update linux 1DVD

Cadence.SWI.v13.10.001.Linux 1CD

Cadence VSDE v4.1 ISR17 Linux 1CD

Cadence Generic PDK090 v3.7 Linux 1CD

Cadence Generic PDK

Cadence CONFRML v17.10.100 Linux 1CD

Cadence.VG.GNU.PACKAGE.2012.09.Linux 1DVD

Cadence VIPCAT v11.30.021 Linux 2DVD

Cadence ZYNQVP v11.10.055 Linux 1CD

Cadence.IC设计.全资料教材 1CD

Allegro 14.2 中文教材

Allegro 15.X学习与使用(中文)

Cadence Allegro简易手册(中文版)

Cadence 使用参考手册(中文版)

CADence PCB设计中文教程

Ultra Librarian v7.5.114 1CD

ULTRA Librarian Gold v3.0.1034 1CD(程序库设计工具)

Conformal Constraint Designer v6.1 1CD(在给定设计问题下确保有效时序约束的产品)

Specctra (Allegro PCB Router) 16.6 112 Win32 1CD

Cadence.Specctra.Router.v10.2 1CD(功能强大的PCB无网格自动布线器)

Cadence.Specman.Elite.v5.0.Linux-ISO 1CD

Orcad Library Builder v16.6.62 1CD

SKILLCAD v41R Linux64 1CD

 

SpringSoft产品:

Laker.v2016.12.Linux64 1CD

Laker 2012.07 Linux32_64 & SOL7 & SOLARIS2 & Symbol 1DVD

Laker v2011.06 Linux32 1CD

Laker v2011.06 Linux64 1CD

Laker 2009.12 P2 Linux 1CD

Laker 2009.12 P2 LinuxAMD64 1CD

Laker 32 v3 REDHAT9 1CD

Laker 31 v3p6a REDHAT72 1CD

Laker 32 v3p6 SOL7 1CD

Laker 32 v3p6 SOLARIS2 1CD

Laker 2009.12 P2 Symbol 1CD

Laker 2009.12 P2 Document 1CD

Laker 32 v3p6 LabBook

Laker Document 1CD(适用3.0版和更高版的用户手册)

Laker.ADP.v2015.03.Linux32_64 2CD

Laker AMS v6.1p4 WinALL 1CD(电路图设计与调试环境)

Laker AMS v6.1p4 Linux

Laker.OA.vJ-2014.09-SP1-4.Linux64 1DVD

 

ADP 2012.07 Linux32_64 & SOL7 & SOLARIS2 & Symbol & Xlib 1CD

ADP 21 v3p5 Linux 1CD

ADP 21 v3p5 LinuxAMD64 1CD

ADP 21 v3p5 REDHAT9 1CD

ADP 21 v3p5 SOL7 1CD

ADP 21 v3p5 SOLARIS2 1CD

ADP 21 v3p5 symbol 1CD

ADP 21 v3p5 Document 1CD

 

Intusoft产品:

ICAP/4 v8.1.6 for WinAll 1CD(电源仿真软件)

Intusoft Magnetics Designer v4.1.0 Build 350 1CD

 

Aegis产品:

CircuitCAM v7.5 1CD

Aegis.CircuitCAM.Suite.v6.0.2.2 1CD(生成设备程式、建模软件)

BoardMaster LPKF v5.1 Full 1CD

LPKF CircuitCAM 6.1.5 build 1159 1CD(一个高端成熟的电路板生产CAM软件)

Circuitcam v5.0使用手册(中文)

 

Aucotec产品:

Aucotec ELCAD v7.8.0 Multilingual-ISO 1CD(ECAE系统,电子工程软件)

 

Altium产品:

Altium CircuitStudio 1.0.4 build 41779 1DVD

Altium Designer 18.0.7 Win64 1DVD

Altium Designer 17.1.6 Build 538-ISO 1DVD

Altium Designer 17.0.7 Build 424-ISO 1DVD

Altium Designer 16.1.12 Build 65033-ISO 1DVD

Altium Designer v16.0.6 Build 282-ISO 1DVD

Altium Designer v15.1.14 Win7_8 1DVD

Altium Designer 15.0.15 Build 41991-ISO 1DVD

Altium.Designer.v15.0.8.Multilingual-ISO 1DVD

Altium Designer 14.3.15 Build 35511 Multilingual-ISO 1DVD(电子产品开发系统)

Altium CERN Library 2014 1CD(电气元件库)

Altium Designer v10.818.23272 with All Plugins, Examples, Libraries 1DVD(9.61 G)

Altium Designer Winter 10 v10.600.22648 Win64-ISO 2DVD(电子产品开发系统)

Altium Protel DXP v7.2.92.With.SP3 WinNT-ISO 1CD

Altium Vault 3.0.13 1CD

Protel DXP Altium v7.0 WinNT_2000_XP-ISO 1CD

Protel Dxp 2004 sp2-ISO( 完全安装版)

Protel DXP 2004 Sp4 1CD

Protel DXP 2004 Sp4 IntegratedLibraries 1CD

Protel DXP 2004 Sp3 集成库 1CD

Protel DXP 2004汉化及工具

Protel 98-ISO 1CD (简体中文破解版)

Protel 99SE Sp6 1CD(简体中文版,含第二版)

Protel 99 正式版 1CD

Protel 99 SE 的入门说明书(中文版)

Protel DXP Fpgalibraries 1CD

Protel DXP Trial Version 1CD

Protel DXP 电路设计及应用教程

Protel DXP 培训教材(中文)

 

P-CAD v2006.With.SP1-ISO 1CD(印制线路板设计软件)

P-CAD v2006.SP2 1CD

Simetrx/Simpis v4.2-ISO 1CD(混合信号电路仿真软件)

InduSoft Web Studio v7.1 SP3 1DVD(功能强大的自动化整合开发工具)

Tasking C166/ST10 v7.5 r2-ISO 1CD(嵌入式软件开发工具套件)

Tasking C166 v7.5 r2 1CD

Tasking C FOR 196_296 v6.0 R1 1CD

Tasking Dsp Suite v2.0r0 For Motorola Dsp5600X 1CD

 

FAMIC TECH INC产品:

Automation Studio P6 SR9 Win32-ISO 1DVD

Automation Studio 6.0.0.10932 Win32 1CD(电路设计、模拟和项目文件软件)

 

NEC产品:

EMCoS Studio 2017 Win64 1DVD

EMCoS 2013 EM Simulation Suite 1DVD

include:

EMCoS Antenna VirtualLab 1.0

EMCoS PCB VLab 1.0

EMC Studio 7.0

EMCoS Antenna VLab 1.01 1CD

EMC Studio v7.0 1CD(电磁兼容分析软件)

NEC EMIStream v4.5001 1CD(EMC防真软件)

EM.Cube 2013.Win32_64 2CD

 

Remcom, Inc.产品:

XFDTD.Bio-Pro.v6.3.8.4.Win2k_XP 1CD(高频电磁分析模拟软体)

XFDTD v7.0 1CD(美国REMCOM公司开发的一款基于电磁数值计算方法FDTD的全波三维电磁仿真软件)

XFDTD v7.3.0.3 Win64 1CD

XGTD v2.1 1CD(电磁仿真和分析软件)

Remcom XFDTD XF7 7.3.0.3 Win64 1CD

 

CST产品:

CST STUDIO SUITE 2018 Win32_64-ISO 1DVD

CST STUDIO SUITE 2016 SP1 Win32_64-ISO 1DVD

CST Studio Suite 2016 SP6 Update Only 1CD

CST.Studio.Suite.v2015.00.Win32_64-ISO 1DVD

CST Studio Suite 2015 SP6 Update Only 1CD

CST.Studio.Suite.v2014.Win32_64-ISO 1DVD

CST.Studio.Suite.v2014.SP6.Update.Only 1CD

CST.Studio.Suite.v2012.With.SP5.WinALL 1DVD

CST Studio Suite 2012 SP8 Update Only 1CD

CST Studio Suite 2012 SP7 Update Only 1CD

CST Studio Suite 2012 SP6 Update Only 1CD

CST.Studio.Suite.v2008.Linux.DVD-ISO 1DVD(完整版-全模块电磁仿真软件)

CST Microwave Studio v5.1.3-ISO 1CD(电磁仿真)

CST Design Studio v3.0 1CD(与CST Microwave Studio配套使用的设计数据/流程管理工具,用来支持与其他工具

交换数据以及分割设计数据和程序库化等作业)

CST.MicroStripes.2009.v8.0 1CD

CST.MicroStripes.2009.v8.0.x64 1CD

CST Em Studio v2.0 1CD(低频电磁场的分析和设计软件)

CST Mafia v4.1 1CD

 

APLAC SOLUTIONS产品:

PCselCAD v10.03 中文版-ISO 1CD(带正版数据库,机电-电气CAD绘图软件)

PC|SCHEMATIC Automation 19.0.2.72 1CD(专业电气绘图软件)

PCschematic ELautomation v9.0.6 正式免狗中文版 1CD(带正版数据库压缩包)

PCschematic ELautomation v9.0 1CD(英文版)

PCschematic (施耐德)元件库

PCschematic 完整教程

PL7 Pro v4.4 1CD

Schneider Electric SoMachine 4.1 SP1.2 Win64 1DVD(一款集成Vijeo-Designer软件的开放、高效的专业软件解决方案)

Schneider Electric SoMachine v4.1.0 Win32_64 1DVD

Schneider-Electric.Unity.Pro.XL.v7.0-ISO 1DVD(含简体中文版)

Schneider Electric Vijeo Citect v7.40 SP1 1DVD

Schneider Electric Vijeo Desiner v4.6-ISO 1CD(含简体中文版)

Sepam SFT2841 v10.0 1CD

IAR产品:

IAR EWAVR v5.3.02-ISO 1CD

IAR Embedded Workbench for 78K v4.81 1CD

IAR.Embedded.Workbench.for.8051.v10.20.1.Full 1CD

IAR.Embedded.Workbench.for.8051.MSC-51.v7.20D(嵌入式开发环境,包括编辑、编译、连接、调试软件,主要支持8到16位处理器)

IAR.EW430.320A 1CD(嵌入式工作台)

IAR.Embedded.Workbench.for.68HC12.v2.44A

IAR Embedded Workbench for ARM 8.30-ISO 1DVD

IAR.Embedded.Workbench.for.Atmel.AVR.v5.50.1 Full 1CD

IAR.Embedded.Workbench.for.Atmel.AVR32.v4.30F-ISO

IAR.Embedded.Workbench.for.Atmel.EWAVR.v4.20C.Full

IAR.Embedded.Workbench.for.Arm.Ewarm.v3.11A-ISO

IAR Embedded Workbench for AVR v6.80.8.Full 1CD

IAR Embedded Workbench for AVR32 v3.31.3 1CD

IAR.Embedded.Workbench.for.CR16C.v3.30

IAR.Embedded.Workbench.for.Dallas.Semiconductor.Maxim.MAXQ.v1.13C

IAR.Embedded.Workbench.for.Dspic.v1.30A(整套的嵌入式开发环境,包括编辑、编译、连接、调试软件,主要支持8到16位处理器)

IAR Embedded Workbench for Freescale Coldfire v1.23.1 1CD

IAR.Embedded.Workbench.for.Freescale.HCS12.v3.20.2 1CD

IAR Embedded Workbench for Freescale HCS08 v1.20.2 1CD

IAR.Embedded.Workbench.for.H8.v1.53I

IAR Embedded Workbench for HCS12 v4.10.1 1CD

IAR Embedded Workbench for M16C & R8C v3.70.1 1CD

IAR.Embedded.Workbench.for.MAXQ.v2.30.1

IAR.Embedded.Workbench.for.MCS-51.v7.21A

IAR.Embedded.Workbench.for.Mitsubishi.740.v2.16A

IAR.Embedded.Workbench.for.MK5.v1.24A

IAR.Embedded.Workbench.for.MSP430.v7.12.1 1DVD

IAR.Embedded.Workbench.for.NEC.v850.v3.30

IAR.Embedded.Workbench.for.NEC.78K.v4.40A

IAR Embedded Workbench for National Semiconductor CR16C v3.10.1 1CD

IAR Embedded Workbench for Microchip PIC18 v3.10

IAR.Embedded.Workbench.for.PICmicro.v2.21A

IAR Embedded Workbench for R32C v1.40.2 1CD

IAR Embedded Workbench for Renesas 32C v3.30.1 1CD

IAR Embedded Workbench for Renesas 78K v4.71.2 1CD

IAR.Embedded.Workbench.for.Renesas.H8.v2.10A

IAR.Embedded.Workbench.for.Renesas.M16C/R8C.v3.50.6 1CD

IAR.Embedded.Workbench.Pro.for.Renesas.Mitsubishi.M16C.EWPM16C.v2.12A.FULL

IAR.Embedded.Workbench.for.Renesas.M32C.v3.30.1 1CD

IAR.Embedded.Workbench.Pro.for.Renesas.Mitsubishi.M32C.v2.11A.FULL

IAR Embedded Workbench for Renesas R32C v1.31.1 1CD

IAR Embedded Workbench for Renesas RX v3.10.1 1CD

IAR Embedded Workbench for RL78 v3.10.1 Win32_64 1CD

IAR Embedded Workbench for RH850 v14.0.1 1CD

IAR Embedded Workbench for RX v3.10.1 1CD

IAR.Embedded.Workbench.for.Samsung.SAM8.v2.21A.FULL

IAR Embedded Workbench for STMicroelectronics STM8 1.40.1 1CD

IAR Embedded Workbench for SuperH 2.30 1CD

IAR Embedded Workbench for Renesas V850 v3.71.1 1CD

IAR Embedded Workbench for STM8 v3.10.1 1CD

IAR.Embedded.Workbench.for.TI.MSP430.v3.41A 1CD

IAR.Embedded.Workbench.for.TI.MSP430.EW430.v3.10A.FULL 1CD

IAR Embedded Workbench for V850 v4.20.1 1CD

IAR Embedded Workbench for ZiLOG eZ80 1.34A 1CD(C/C++编译器和调试器)

IAR.Embedded.Workbench.for.ZiLOG.Z80.v4.06A Full

IAR Embedded Workbench Limited Edition for 6502

IAR.MakeApp.for.Buletooch.Protocol.Stack.Embedded.Edition.v1.40A.FULL

IAR.Embedded.Workbench.AVR.v2.27B

IAR.PowerPac.for.ARM.v2.32.2 1CD(具有丰富功能的实时嵌入式操作系统(RTOS),并包含一个高性能的文件管理系统)

IAR PowerPac Base for ARM v2.40.2-ISO 1CD

IAR PowerPac GUI Basic for ARM v2.40.2-ISO 1CD

IAR PowerPac GUI Professional for ARM v2.40.2-ISO 1CD

IAR PowerPac TCP/IP Base for ARM v2.40.2-ISO 1CD

IAR PowerPac USB Device for ARM v2.40.2-ISO 1CD

IAR PowerPac USB Host for ARM v2.40.2-ISO 1CD

IAR AVR C-SPY ROM-Monitor Debugger v5.40.1 1CD

IAR VisualState v6.3.2 1CD(图形化状态机设计工具, 它能为嵌入式系统产生非常紧凑的c代码)

IAR中文使用手册

FlowCode Pro 7.1.1.0 1CD

FlowCode for PIC v4.3.6.61 1CD

Flowcode.for.ARM.v4.3.8.64 1CD

Flowcode for AVR v4.3.6.61 1CD

Renesas.Nc30WA.v5.30.R02.Final

 

RA产品:

Rowley.Associates.CrossWorks.for.ARM.v1.5.Build.2 1CD

Rowley.Associates.CrossWorks.for.AVR.v2.0 1CD

Rowley.Associates.CrossWorks.for.MAXQ.v2.0 1CD

Rowley.Associates.CrossWorks.for.MSP430.v2.0 1CD

 

NASSDA CORP.产品:

Nassda.Critic.v5.0.01.2005 1CD(一款EDA工具,这是Windows版本)

Nassda.Critic.v5.0.01.2005.Linux 1CD(这是Linux版本)

Nassda.Hanex.v5.0.01.2005 1CD(业界领先的电路级时序与串扰分析工具,这是Windows版本)

Nassda.Hanex.v5.0.01.2005.Linux 1CD(这是Linux版本)

Nassda.Hsim.v5.0.01.2005 1CD(全球第一个满足深亚微米设计需求的全电路、层次化的晶体管级仿真器,这是Windows版本)

Nassda.Hsim.v5.0.01.2005.Linux 1CD(这是Linux版本)

 

TimingDesigner.v9.2 1CD(时域分析和图示工具)

TimingDesigner.v9.2 Linux 1CD

TimingDesigner.v9.2 Solaris 1CD

 

Precience产品:

PCB Navigator 5.1 1CD

SCHMID & PARTNER ENGINEERING AG产品:

SemCAD v13.4 1CD(SEMCAD 为复杂环境的近场分析提供了高端电磁模拟平台,可为电磁场的IIS/IT'IS 研究提供支持和帮助,

同时可帮助在芯片级的EMC/EMI和EM 模拟,天线设计等,SEMCAD用户界面友好、强大(基于ACIS3D模型工具包)

 

Syncopation.Software产品:

DPL.Fault.Tree.v6.03.03 1CD(人工智能分枝决策树技术应用软件,核电站的安全保证分析,

卫星发射装置的可靠性分析, 计算机网络的安全性分析等)

DPL.Professional.v6.03.02 1CD(人工智能分枝决策树技术应用软件,增加更多的功能与分析模块, 如策略树建模,...

0 评论